Add regression test

This commit is contained in:
Manish Goregaokar 2021-10-01 16:14:35 -07:00
parent fe999e88ed
commit 51160808b8
3 changed files with 13 additions and 1 deletions

View file

@ -60,4 +60,7 @@ fn main() {
// issue #2840
let num = 0.000_000_000_01e-10f64;
// issue #7744
let _ = 2.225_073_858_507_201e-_308_f64;
}

View file

@ -60,4 +60,7 @@ fn main() {
// issue #2840
let num = 0.000_000_000_01e-10f64;
// issue #7744
let _ = 2.225_073_858_507_201_1e-308_f64;
}

View file

@ -78,5 +78,11 @@ error: float has excessive precision
LL | let bad_bige32: f32 = 1.123_456_788_888E-10;
| ^^^^^^^^^^^^^^^^^^^^^ help: consider changing the type or truncating it to: `1.123_456_8E-10`
error: aborting due to 13 previous errors
error: float has excessive precision
--> $DIR/excessive_precision.rs:65:13
|
LL | let _ = 2.225_073_858_507_201_1e-308_f64;
| ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^ help: consider changing the type or truncating it to: `2.225_073_858_507_201e-_308_f64`
error: aborting due to 14 previous errors